我正在尝试使用“浮点和定点包”作为 VHDL 中滤波器设计的一部分。我使用 Altera Quartus II 作为开发环境。我从网站下载了文件包: http://www.vhdl.org/fphdl/ ,现在可以在 http://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl/

在他们的用户指南中,提到了以下内容:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

但是,我不完全知道如何使用 Altera Quartus II 工具将提到的 *.vhdl 文件编译到库中。

任何提示将不胜感激。

最佳答案

使用 Altera Quartus II GUI,您可以添加“fixed_float_types_c.vhdl”,
'fixed_pkg_c.vhdl' 和 'float_pkg_c.vhdl' 文件通过
“项目导航器”选项卡称为"file"。见下图。

添加文件时,您可以在“属性”下指定目标库。
见下图。

您还可以修改项目的 Quartus II 设置 (".qsf") 文件,以及
添加行:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

但是,您可能需要确定是否需要编译到“ieee_proposed”库中,否则您可以将它们与其他文件一起编译到“work”库中。

关于floating-point - 使用 Altera Quartus II 将 *.vhdl 编译到库中,我们在Stack Overflow上找到一个类似的问题:https://stackoverflow.com/questions/19580207/

10-13 06:14