quit -sim

set PATH1 D:/Program/modelsim/vivado_lib
set PATH2 D:/Program/Vivado/Vivado/2014.4/data/verilog/src set PATH3 D:/Program/Vivado/Vivado/2014.4/data/verilog/src/xeclib vlib novas
vmap novas novas
vcom +acc -work novas novas.vhd vlib work vmap work $PATH1/secureip
vmap work $PATH1/unisim
vmap work $PATH1/unimacro
vmap work $PATH1/unifast
vmap work $PATH1/unisims_ver
vmap work $PATH1/unimacro_ver
vmap work $PATH1/unifast_ver
vmap work $PATH1/simprims_ver #vlog $PATH2/glbl.v vlog glbl.v vlog -work work -f ../verilog.f
vcom -work work -f ../vhdl.f vlog -work work $PATH3/*.v #vsim -novopt work.tb_sensor_rxdata
vsim work.tb_sensor_rxdata run 100ns q ## initial begin
## $fsdbDumpfile("wave.fsdb");
## //$fsdbDumpvars(1 , tb_binning_top);
## $fsdbDumpvars;
## forever #1 $display("Simulation Time now is : %t",$time);
## end
::关闭回显
@ECHO OFF
::设置软件路径
SET vsim=D:\Program\modelsim\win32\vsim.exe
SET debussy=D:\Program\Debussy\bin\Debussy.exe
::ModelSim Command
%vsim% -c -do sim.do
::删除ModelSim生成的相关文件
RD work /s /q
DEL transcript vsim.wlf /q
::Debussy Command
%debussy% -f ../verilog.f -f ../vhdl.f -ssf wave.fsdb -
::删除波形文件
DEL wave.fsdb /q
::删除Debussy生成的相关文件
RD Debussy.exeLog /s /q
DEL debussy.rc /q
::退出命令行
EXIT
04-25 03:06