UVM有一个内部数据库表,可以将值存储在给定名称下,并且稍后可以由其他TestBench组件检索。uvm_config_db类提供了一个方便的接口,位于uvm_resource_db之上,以简化用于uvm_component实例的基本接口。请注意,所有函数都是静态的,并且必须使用::作用域运算符调用

这样的配置数据库允许我们将不同的配置设置存储在不同的名称下,这些设置有可能在需要时配置TestBench组件而无需修改实际的TestBench代码。例如,要为agent打开功能覆盖率,我们只需给出该agent的路径并在配置数据库中设置一个变量为值1即可。代理可以检查此变量下的值,并在打开时开始收集覆盖率。

【从零开始学习 UVM】9.2、UVM Config DB —— UVM config database 详解【重要】-LMLPHP

set()

static function 
04-02 17:36