目录

引言

1. 数字硬件建模概述

1.1 硬件描述语言

1.2 系统级建模语言

2. 抽象级别的硬件模型

2.1 逻辑级别模型

2.2 寄存器传输级别模型(RTL)

2.3 事务级模型(TLM)

2.4 行为/算法级别模型

3. 硬件模型的设计和编码实践

3.1 模块化设计

3.2 设计可重用性

3.3 编码风格

4. 硬件模型的集成和验证

4.1 集成

4.2 验证

5. 从模型到硬件的流程

5.1 设计约束规范

5.2 逻辑综合

5.3 技术映射、布局和布线

5.4 时序分析和收敛

6. 创建可重用和便携式硬件模型

6.1 创建模块化设计

6.2 使用参数化设计

6.3 创建可配置的接口

7. 使用最佳实践构建硬件模型

7.1 没有歧义的命名约定

7.2 注释和文档

7.3 模块化和可重用设计

8. 通过脚本驱动的模拟进行硬件模型验证


引言

在今天的数字硬件设计领域中,选择适当的建模语言是至关重要的一步。这一选择将决定你将如何描述和验证设计,同时也可能会影响整个设计过程的效率和产出。在这篇文章中,我们将讨论如何在 Verilog、SystemVerilog、VHDL、SystemC、HLS(C++、OpenCL) 中构建各种抽象级别的硬件模型:逻辑、RTL、TLM 和行为/算法。

我们的目标是尽可能地创建通用、可重用和便携的硬件模型,并使用设计和编码的最佳实践进行构建。这样的模型可以通过简单的握手接口或作为 AXI 等行业标准总线接口上的内存映射主/从 IP,轻松地集成到更大的设计中。

项目下载

1. 数字硬件建模概述

数字硬件建模是数字系统设计的一个重要组成部分。在系统设计中,硬件模型提供了对实际硬件功能和性能的抽象描述,使得设计者可以在不涉及具体硬件实现细节的情况下进行系统设计和验证。

1.1 硬件描述语言

硬件描述语言 (HDL) 如 VHDL、Verilog 和 SystemVerilog 等被广泛应用于硬件模型的建立。通过使用这些语言,设计者可以用高级的程序语言构建和模拟电路结构和行为。

  1. VHDL:VHDL 是一种基于 ADA 语言的硬件描述语言。它可以用于描述数字电路的行为和结构,具有强大的并发性和类型安全性。

  2. Verilog:Verilog 是一种类似于 C 语言的硬件描述语言,易于学习并且在工业界广泛使用。

  3. SystemVerilog:SystemVerilog 是基于 Verilog 的硬件描述和硬件验证语言,增加了一些高级的功能,如类、随机生成和断言等。

1.2 系统级建模语言

系统级建模语言(如 SystemC 和 HLS)则可以用于更高层次的抽象,如事务级模型和算法级模型。

  1. SystemC:SystemC 是一种基于 C++ 的硬件描述语言,它被设计用于支持系统级设计,可以用于描述并模拟硬件和软件的交互。

  2. HLS (C++/OpenCL):高级综合(HLS)是一种方法,它可以将用 C++ 或 OpenCL 编写的行为描述转换成 RTL 描述。这样,设计师就可以在更高的抽象级别工作,从而提高设计的效率和质量。

这些语言和工具的选择和使用取决于设计的需求和约束,例如设计的复杂性、设计团队的专业技能、项目的时间线、性能需求等。

2. 抽象级别的硬件模型

根据不同的需求和应用,硬件模型可以在各种抽象级别构建。这些抽象级别包括逻辑级别、寄存器传输级别(RTL)、事务级模型(TLM)和行为/算法级别。

2.1 逻辑级别模型

在逻辑级别,硬件模型是基于门的描述,包括 AND、OR、NOT 等逻辑门。这种模型可以非常精确地描述硬件的功能,但并不适合描述大规模复杂的设计,因为这会使得模型过于庞大和复杂。

在这一部分,我们将使用 VHDL 和 Verilog 来构建逻辑级别的模型,具体包括基本的逻辑门、复杂的组合逻辑和时序逻辑电路等。

2.2 寄存器传输级别模型(RTL)

寄存器传输级别(RTL)模型是一种更高层次的抽象,它描述了数据在寄存器之间的流动和在每个时钟周期内数据如何被处理。RTL模型是硬件设计中的主流方法,特别适合于复杂的数字系统设计。

在这一部分,我们将使用 VHDL、Verilog 和 SystemVerilog 来构建 RTL 模型,这包括基本的寄存器和计数器、复杂的数据路径和控制单元等。

2.3 事务级模型(TLM)

事务级模型(TLM)是一种更高层次的抽象,它专注于数据交换的过程,而不关心数据交换的具体实现。TLM模型可以用于快速验证和评估系统性能,适用于大规模系统设计。

在这一部分,我们将使用 SystemC 和 HLS(C++/OpenCL)来构建 TLM 模型,包括基本的总线事务、复杂的系统互连和高层次的算法实现等。

2.4 行为/算法级别模型

在最高的抽象级别,硬件模型专注于算法的实现,而不关心底层的硬件实现。这种模型可以用于验证和优化算法的正确性和效率,适用于算法和软件开发。

在这一部分,我们将使用 HLS(C++/OpenCL)来构建行为/算法级别的模型,包括基本的算法操作、复杂的算法流程和高性能的并行算法等。

3. 硬件模型的设计和编码实践

在构建硬件模型时,应遵循一些最佳实践,以确保模型的质量和可重用性。

3.1 模块化设计

模块化设计是一种设计技巧,可以将复杂的系统分解为独立的子模块。每个子模块都可以独立地设计和验证,然后通过定义的接口与其他模块连接。这种设计方法可以大大提高设计的效率和质量。

3.2 设计可重用性

为了提高硬件模型的可重用性,应遵循一些设计原则。首先,每个模块应具有清晰定义的功能,且模块间的接口应简单明了。其次,模块应避免使用特定的硬件特性或技术,以便在不同的环境和技术中使用。最后,模块应具有足够的参数化能力,以便在不同的配置和条件下使用。

3.3 编码风格

良好的编码风格对于提高代码质量和可读性至关重要。应遵循一致的命名规则,使用适当的注释,并避免使用复杂的语句和结构。

4. 硬件模型的集成和验证

构建硬件模型后,需要通过集成和验证来确保模型的正确性和性能。

4.1 集成

硬件模型的集成包括两个方面:模块间的集成和模型与更大系统的集成。模块间的集成要求定义和实现适当的接口,以便模块可以正确地连接和交互。模型与更大系统的集成要求定义和实现适当的适配器或桥接器,以便模型可以作为内存映射主/从 IP 集成到 AXI 等行业标准总线接口上。

4.2 验证

硬件模型的验证包括功能验证和性能验证。功能验证主要通过模拟来进行,可以使用脚本驱动的方式生成测试用例,并通过比较模拟结果和预期结果来检查模型的正确性。性能验证则需要评估模型在实际条件下的性能,包括时序、面积、功率等。

其中,C/RTL协同仿真是一种有效的验证方法,可以使用测试台进行预综合或RTL功能验证。此外,使用测试台进行综合后或门级功能验证也是必要的步骤,以确保模型在实际硬件上的行为与预期一致。

5. 从模型到硬件的流程

构建并验证硬件模型后,需要将模型转换为实际的硬件实现。这个过程通常包括设计约束规范、逻辑综合、技术映射、布局和布线、时序分析和收敛等步骤。

5.1 设计约束规范

设计约束规范是硬件实现的第一步,它定义了设计的目标和约束,包括时钟频率、功耗预算、面积预算、I/O引脚约束等。设计约束规范是综合和布局工具的输入,影响着硬件实现的质量和性能。

5.2 逻辑综合

逻辑综合是将RTL模型转换为逻辑门级模型的过程,它根据设计约束规范来优化设计。逻辑综合的结果是一个网表,它描述了硬件的逻辑结构和互连。

5.3 技术映射、布局和布线

技术映射是将逻辑门级模型映射到特定制程的库单元的过程,它生成了一个物理级的模型。布局和布线则是将物理级模型布局到硅片上,并连接各个部分的过程,它生成了一个完全实现的硬件设计。

5.4 时序分析和收敛

时序分析是评估设计是否能满足时钟频率和时序约束的过程。如果设计不能满足时序约束,则需要调整设计或约束,并重复前面的步骤,直到设计收敛。

以上就是使用 VHDL、Verilog、SystemVerilog、SystemC、HLS(C++、OpenCL)进行数字硬件建模的全过程,希望对你有所帮助。在后续的文章中,我们将具体介绍每一步的实施方法和技巧,敬请期待。

6. 创建可重用和便携式硬件模型

当我们开始编写硬件模型时,最终目标应该是创建一个可以在多个项目中重复使用的模块。以下是几个创建可重用和便携式硬件模型的关键步骤和策略。

6.1 创建模块化设计

将设计分解为更小、更简单的模块可以帮助我们更好地理解和管理复杂的硬件系统。每个模块都应该是独立的,并且有一个单一的、明确的任务。这样做的结果是,每个模块都可以单独测试和验证,然后将所有模块组合到一起构建更复杂的系统。

6.2 使用参数化设计

通过参数化设计,我们可以在编译时间修改硬件模型的属性。这让我们可以用同一份源代码创建多个不同版本的硬件模型,而无需修改代码。参数化设计提高了代码的复用性和灵活性,同时减少了错误的可能性。

6.3 创建可配置的接口

硬件模型的接口应该是灵活的,以便可以与各种不同的硬件和软件环境互操作。例如,可以使用通用的接口标准(如 AXI)创建硬件模型,以便在不同的系统中使用。

7. 使用最佳实践构建硬件模型

无论是在 VHDL、Verilog、SystemVerilog、SystemC、还是 HLS(C++、OpenCL)环境中,都有一些编码和设计的最佳实践,可以帮助我们构建更高质量、更可靠的硬件模型。

7.1 没有歧义的命名约定

命名约定应该清晰、简洁并且易于理解。变量、函数和模块的名字都应该准确地描述其功能。使用这样的命名约定可以使代码更易于阅读和理解,减少混淆和误解的可能性。

7.2 注释和文档

良好的注释和文档对于任何项目都至关重要。在代码中添加详细的注释可以帮助其他开发者理解代码的功能和工作原理。此外,还应该创建独立的文档来描述设计的高级视图、设计决策和任何已知的问题或限制。

7.3 模块化和可重用设计

模块化设计和可重用设计不仅可以使代码更易于管理和理解,而且还可以使得更改和添加功能变得更加简单。此外,这种设计方法还可以增加代码的稳定性,因为每个模块都可以单独测试和验证。

8. 通过脚本驱动的模拟进行硬件模型验证

验证硬件模型的正确性和性能是硬件设计过程中的重要部分。在这个阶段,我们使用脚本驱动的模拟来生成测试用例和验证模型的行为。

测试台脚本示例

这里提供一些使用Verilog编写的测试台脚本示例,用于验证一个简单的加法器模块。

首先,这是我们的加法器模块(adder.v):

module adder(
    input [31:0] a,
    input [31:0] b,
    output reg [31:0] sum
);
    always @* begin
        sum = a + b;
    end
endmodule

接下来是对应的测试台脚本(adder_tb.v):

module adder_tb;
    reg [31:0] a;
    reg [31:0] b;
    wire [31:0] sum;

    // 实例化被测模块
    adder dut(a, b, sum);

    initial begin
        // 生成测试用例并检查结果
        a = 32'h00000001; b = 32'h00000001; #10; 
        if (sum !== 32'h00000002) begin
            $display("Test failed: %h + %h = %h", a, b, sum);
        end

        a = 32'h00000000; b = 32'h00000000; #10; 
        if (sum !== 32'h00000000) begin
            $display("Test failed: %h + %h = %h", a, b, sum);
        end

        a = 32'hFFFFFFFF; b = 32'h00000001; #10; 
        if (sum !== 32'h00000000) begin
            $display("Test failed: %h + %h = %h", a, b, sum);
        end

        // 测试完成,退出模拟
        $finish;
    end
endmodule

在这个测试台脚本中,我们实例化了一个加法器模块,并通过改变输入 ab 的值来生成测试用例。然后,我们检查输出 sum 的值是否符合预期。如果不符合预期,我们打印一个错误消息。在完成所有测试用例后,我们结束模拟。

请注意,这只是一个简单的例子,实际的测试台可能需要处理更复杂的情况,例如随机测试、并发测试和基于约束的验证等。

06-10 16:24