整数

整数可以用二进制 b B ,八进制 o O ,十进制 d D ,十六进制 h H 表示,例如, 8’b00001111 表示 8 位位宽的二进制整数, 4’ha 表示 4 位位宽的十六进制整数。

X Z

X 代表不定值, z 代表高阻值,例如, 5’b00x11 ,第三位不定值, 3’b00z 表示最低位为高阻值。

下划线

在位数过长时可以用来分割位数,提高程序可读性,如 8’b0000_1111

参数 parameter

 parameter 可以用标识符定义常量,运用时只使用标识符即可,提高可读性及维护性,如先定义:
parameter width = 8 ; 

再定义寄存器:

reg [width-1:0] a;

即定义了 8 位宽度的寄存器。

参数的传递:在一个模块中如果有定义参数,在其他模块调用此模块时可以传递参数,并可以修改参数,如下所示,在 module 后用 # ()表示。

代码示例

例如定义模块如下:(CSDN代码块不支持Verilog,代码复制到notepad++编辑器中,语言选择Verilog,看得更清楚)
module rom 
#(
 parameter depth =15,
 parameter width = 8 
 )
 (
 input [depth-1:0] addr ,
input [width-1:0] data ,
output result 
) ;
endmodule

调用模块

module top() ;
wire [31:0] addr ;
wire [15:0] data ;
wire result ;

rom 
#(
 .depth(32),
 .width(16) 
 )
r1 
(
.addr(addr) ,
.data(data) ,
.result(result)
) ; 
endmodule
Parameter 可以用于模块间的参数传递(也可本模块内部使用),而 localparam 仅用于本模块内使用,不能用于参数传递。Localparam 多用于状态机状态的定义。
localparam BURST_IDLE              = 3'd0;       //状态机状态:空闲 ,仅本文件使用 
04-22 07:00